

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、<p><b> 《EDA技術(shù)》</b></p><p><b> 課程設(shè)計(jì)報(bào)告</b></p><p> 專 業(yè): 電子信息工程 </p><p> 班 級(jí): </p><p>
2、 姓 名: </p><p> 指導(dǎo)教師: </p><p><b> 年 月 日</b></p><p> 目 錄</p><p> 1.目的……………………………………
3、………………(2)</p><p> 2.課程設(shè)計(jì)題目描述及要求……………………………(2)</p><p> 2.1 題目描述…………………………………………(2)</p><p> 2.2 要求………………………………………………(2)</p><p> 3.課程設(shè)計(jì)報(bào)告內(nèi)容……………………………………(4)</p>
4、<p> 3.1課程設(shè)計(jì)作品的功能……………………………(4)</p><p> 3.2課程設(shè)計(jì)原程序與簡(jiǎn)要說明……………………(4)</p><p> 3.3時(shí)序控制模塊仿真波形分析……………………(6) </p><p> 4.總結(jié)……………………………………………………(7)</p><p> 5.參考書目…
5、……………………………………………(7)</p><p><b> 1.目的</b></p><p> 《EDA課程設(shè)計(jì)》(注:EDA即電子設(shè)計(jì)自動(dòng)化,Electronics Design Automation)是繼《模擬電子技術(shù)基礎(chǔ)》、《數(shù)字電子技術(shù)基礎(chǔ)》、《電子技術(shù)基礎(chǔ)實(shí)驗(yàn)》課程后,電氣類、自控類和電子類等專業(yè)學(xué)生在電子技術(shù)實(shí)驗(yàn)技能方面綜合性質(zhì)的實(shí)驗(yàn)訓(xùn)練課程,
6、是電子技術(shù)基礎(chǔ)的一個(gè)部分,其目的和任務(wù)是通過一周的時(shí)間,讓學(xué)生掌握EDA的基本方法,熟悉一種EDA軟件(MAXPLUS2),并能利用EDA軟件設(shè)計(jì)一個(gè)電子技術(shù)綜合問題,并在實(shí)驗(yàn)板上成功下載,為以后進(jìn)行工程實(shí)際問題的研究打下設(shè)計(jì)基礎(chǔ)。</p><p> 2. 課程設(shè)計(jì)題目描述和要求</p><p><b> 2.1 題目描述</b></p><p
7、><b> 課題2:搶答器</b></p><p> 采用EDA技術(shù),設(shè)計(jì)一個(gè)4人搶答器。系統(tǒng)圖如下:</p><p><b> 2.2 要求</b></p><p> ?。?)1個(gè)主持鍵、4個(gè)搶答鍵。</p><p> ?。?)搶答的鍵號(hào)用一個(gè)數(shù)碼管顯示(可以采用靜態(tài)顯示)。</
8、p><p> (3)搶答的時(shí)間用兩位數(shù)碼管顯示(可以采用靜態(tài)顯示),精確到0.1s。</p><p> ?。?)主持鍵按下,4個(gè)搶答鍵才有效,時(shí)間從0.0s開始計(jì)時(shí)。</p><p> ?。?)當(dāng)時(shí)間到8.7s還沒人按搶答鍵,搶答停止,搶答鍵無效;當(dāng)主持鍵再次按下才有效。</p><p> ?。?)在規(guī)定時(shí)間內(nèi)搶答鍵按下時(shí),顯示先按下的鍵號(hào),時(shí)
9、間停止,搶答鍵無效;當(dāng)主持鍵再次按下才有效。</p><p> ?。?)必須先進(jìn)行前仿真,并打印出仿真波形。</p><p> ?。?)按要求寫好設(shè)計(jì)報(bào)告(設(shè)計(jì)報(bào)告內(nèi)容包括:引言,方案設(shè)計(jì)與論證,總體設(shè)計(jì),各模塊設(shè)計(jì),調(diào)試與數(shù)據(jù)分析,總結(jié))。</p><p> 3.課程設(shè)計(jì)報(bào)告內(nèi)容</p><p> 3.1課程設(shè)計(jì)作品的功能</p&
10、gt;<p> 1個(gè)主持鍵、4個(gè)搶答鍵。</p><p> 主持鍵按下,4個(gè)搶答鍵有效,時(shí)間從0.0s開始計(jì)時(shí)。搶答開始,當(dāng)時(shí)間到8.7s還沒人按搶答鍵,搶答停止,搶答鍵無效,當(dāng)主持鍵再次按下時(shí)才有效。</p><p> 3.2課程設(shè)計(jì)原程序與簡(jiǎn)要說明</p><p><b> 搶答器分為四個(gè)模塊</b></p>
11、;<p><b> ?。?)選擇器件程序</b></p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> use ieee.std_logic_unsigned.all;</p><p> entity xuanze
12、 is</p><p> port (k3:in std_logic;</p><p> k2:in std_logic;</p><p> k1:in std_logic;</p><p> k0:in std_logic;</p><p> ldn,main,clm,clk:in std_logic;&l
13、t;/p><p> y:out std_logic_vector(6 downto 0)</p><p><b> );</b></p><p><b> end;</b></p><p> architecture behave of xuanze is</p><p>
14、; signal n:std_logic_vector(6 downto 0);</p><p> signal s:std_logic_vector(3 downto 0);</p><p> signal l:std_logic;</p><p><b> begin</b></p><p> p1:pro
15、cess(l,ldn,clm,main,s,clk,k0,k1,k2,k3,n)</p><p><b> begin</b></p><p> s(0)<=k0;s(1)<=k1;s(2)<=k2;s(3)<=k3;</p><p><b> l<=ldn;</b></p>
16、<p> if (clm='0') then n<="0000000";</p><p><b> else</b></p><p> if (main='1') then</p><p> if l='1' then</p><
17、p> if (clk'event and clk='1') then</p><p> if s="1000" then n<="0110011" ; </p><p> elsif s="0100" then n<="1111001"; </p>
18、<p> elsif s="0010" then n<="1101101"; </p><p> elsif s="0001" then n<="0110000"; </p><p> else n<=null;</p><p><b> e
19、nd if;</b></p><p><b> end if;</b></p><p> else n<=n;</p><p><b> end if;</b></p><p> else n<=n;</p><p><b> en
20、d if;</b></p><p><b> end if;</b></p><p> end process p1;</p><p><b> y<=n;</b></p><p> end behave;</p><p> ?。?)保持信號(hào)持續(xù)輸出
21、器件程序</p><p> library ieee;</p><p> use ieee.std_logic_1164.all;</p><p> entity qijian is</p><p> port (n:in std_logic_vector(6 downto 0);</p><p> y:ou
22、t std_logic);</p><p><b> end;</b></p><p> architecture behave of qijian is </p><p><b> begin</b></p><p> process(n)</p><p><
23、b> begin</b></p><p> if n="0110011" then y<='1' ; </p><p> elsif n="1111001" then y<='1' ; </p><p> elsif n="1101101"
24、; then y<='1' ; </p><p> elsif n="0110000" then y<='1' ; </p><p> else y<='0';</p><p><b> end if;</b></p><p>
25、 end process ;</p><p> end behave;</p><p><b> ?。?)計(jì)數(shù)器原理圖</b></p><p><b> ?。?)檢測(cè)器件程序</b></p><p> library ieee;</p><p> use ieee.st
26、d_logic_1164.all;</p><p> entity jiance is</p><p> port (x:in std_logic_vector(3 downto 0);</p><p> g:in std_logic_vector(3 downto 0);</p><p> y:out std_logic);<
27、/p><p> end jiance;</p><p> architecture behave of jiance is</p><p> signal ys:std_logic;</p><p><b> begin</b></p><p> process(x,g)</p>
28、<p><b> begin</b></p><p> if x="0111" then</p><p> if g="1000" then</p><p><b> ys<='1';</b></p><p><
29、;b> else</b></p><p><b> ys<='0';</b></p><p><b> end if;</b></p><p> else ys<='0';</p><p><b> end if;&l
30、t;/b></p><p> end process;</p><p><b> y<=ys;</b></p><p> end behave;</p><p> 四個(gè)搶答鍵和主持人鍵是在選擇器件上有選擇器件控制選擇,</p><p> 選擇后進(jìn)入保持系統(tǒng)保持信號(hào)輸出此時(shí)計(jì)數(shù)
31、器停止計(jì)時(shí)并清零</p><p> 主持人按鍵后,計(jì)數(shù)器開始計(jì)時(shí)到達(dá)8.7秒時(shí)通過檢測(cè)元件</p><p> 如果還是沒人搶答,計(jì)數(shù)器停止計(jì)時(shí),當(dāng)主持人安了清零鍵后</p><p> 再按主持人鍵后,又可以繼續(xù)搶答</p><p> 3.3時(shí)序模塊仿真波形分析</p><p> 選擇后 顯示出選擇號(hào)碼 并時(shí)
32、間清零</p><p> 到了8.7秒還沒選擇 8.7秒保持 主持人按下清零 并再按一下主持人鍵 繼續(xù)選擇。</p><p><b> 4.總結(jié)</b></p><p> 這次EDA課程設(shè)計(jì),使我更加的了解了EDA,VHDL語言和外部設(shè)備的接線,也讓我更加了解了EDA設(shè)計(jì)的流程和原理。</p><p> 通過這次
33、課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,進(jìn)而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力,提高了計(jì)算能力,繪圖能力,熟悉了規(guī)范和標(biāo)準(zhǔn),在設(shè)計(jì)的過程中,遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固。</p><p> 經(jīng)過這次的課程設(shè)計(jì),雖然只是短短的幾天。但是在這次
34、的課程設(shè)計(jì)中不僅檢驗(yàn)了我所學(xué)習(xí)的知識(shí),也培養(yǎng)了我的學(xué)習(xí)興趣和動(dòng)手能力。在設(shè)計(jì)過程中,與同學(xué)分工設(shè)計(jì),和同學(xué)們相互探討,相互學(xué)習(xí)。</p><p> 課程設(shè)計(jì)是我們專業(yè)課程知識(shí)綜合應(yīng)用的實(shí)踐訓(xùn)練,也是我們邁向社會(huì),從事職業(yè)工作前一個(gè)必不少的過程,今天認(rèn)真的進(jìn)行課程設(shè)計(jì),學(xué)會(huì)腳踏實(shí)地邁開這一步,就是為明天能穩(wěn)健地在社會(huì)大潮中奔跑打下堅(jiān)實(shí)的基礎(chǔ)。在此我要感謝對(duì)我?guī)椭娜耍€要感謝老師對(duì)我的細(xì)心指導(dǎo),讓我學(xué)會(huì)了團(tuán)隊(duì)合作
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 課程設(shè)計(jì)---四位搶答器
- 基于fpga平臺(tái)的四位搶答器設(shè)計(jì)
- 8位智能搶答器課程設(shè)計(jì)
- 四路搶答器課程設(shè)計(jì)
- 四路搶答器課程設(shè)計(jì)
- 四路搶答器課程設(shè)計(jì)
- 數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告--基于_fpga的四位智能搶答器
- eda課程設(shè)計(jì)—四路搶答器
- 四路搶答器課程設(shè)計(jì)報(bào)告
- 四路搶答器eda課程設(shè)計(jì)
- 搶答器課程設(shè)計(jì)
- 搶答器課程設(shè)計(jì)
- 搶答器課程設(shè)計(jì)
- 搶答器課程設(shè)計(jì)
- 課程設(shè)計(jì)--搶答器
- 課程設(shè)計(jì)--搶答器
- 四路搶答器eda課程設(shè)計(jì)
- 經(jīng)典四路搶答器課程設(shè)計(jì)
- 課程設(shè)計(jì)---四人搶答器
- 四組搶答器plc課程設(shè)計(jì)
評(píng)論
0/150
提交評(píng)論